CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - sobel verilog

搜索资源列表

  1. sobel

    0下载:
  2. 图像边缘检测的VERILOG实现,能准确检测图像边缘
  3. 所属分类:图形图象

    • 发布日期:2008-10-13
    • 文件大小:590310
    • 提供者:李永杰
  1. Edge-detection

    1下载:
  2. 多个边缘检测sobel算子的verilog程序模块。-Multiple edge detection sobel operator verilog program modules
  3. 所属分类:Special Effects

    • 发布日期:2016-04-21
    • 文件大小:4096
    • 提供者:
  1. sobel

    1下载:
  2. Verilog代码实现Sobel算子,包括整个工程,仿真也有。。仿真表明该程序能实现Sobel 算子硬件实现-Verilog,Sobel Operator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-06-16
    • 文件大小:5014528
    • 提供者:蔡浩聪
  1. Sobel

    0下载:
  2. Verilog code to calculate Sobel
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:538
    • 提供者:lawrence
  1. sobel_filter

    0下载:
  2. implementation of SOBEL filter using FPGA board RC200 in handle-c
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:3602
    • 提供者:nishu
  1. sobel

    0下载:
  2. verilog sobel FPGA edge detection-Adopted verilog language realizes sobel edge detection in image processing algorithm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-04-21
    • 文件大小:10240
    • 提供者:wkd
  1. DE2_CCD_sobel

    0下载:
  2. verilog编写的适用于fpga的3x3模板sobel滤波-verilog fpga prepared for the 3x3 template sobel filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5595722
    • 提供者:彭青艳
  1. sobel2

    0下载:
  2. 新的sobel算子的FPGA实现。使用verilog语言,并调试通过~-The sobel operator new FPGA implementation. Verilog language, and debugging through to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:356811
    • 提供者:abrams
  1. grayscale

    0下载:
  2. 灰階(gray-scale)圖像處理(60*60 pixel)controller控制各個程式的地址以及開關,input_mem將資料讀進記憶體,grayscale將讀取資料像素的亮度以數值來表示,將24bit的 像素化成四個8bit的值輸出。接著進入sobel,在此將前面的四個值乘上1或-1個別的相加,得出新的四個值,輸入進shiftcase進行threshold的判斷,大於threshold則表現出白色(255),小於threshold則表現出黑色(0),最後將結果存入記憶體out_mem。
  3. 所属分类:Special Effects

    • 发布日期:2017-04-25
    • 文件大小:76563
    • 提供者:sara kuo
  1. sobel_edge_det

    0下载:
  2. 这是基于verilog语言的sobel检测的硬件语言设计,简单可用。-sobel verilog
  3. 所属分类:Special Effects

    • 发布日期:2017-04-11
    • 文件大小:1226
    • 提供者:zxc
  1. sobel

    0下载:
  2. 在FPGA中,采用verilog HDL语言实现图像处理算法sobel,仿真实验通过-In the FPGA using verilog HDL language image processing algorithms sobel, simulation experiment
  3. 所属分类:Graph program

    • 发布日期:2017-05-22
    • 文件大小:6255144
    • 提供者:zhouhui
  1. sobel-with-verilog-language

    0下载:
  2. 用verilog实现sobel边缘检测算法-sobel edge detection with verilog language
  3. 所属分类:Other systems

    • 发布日期:2017-04-26
    • 文件大小:8127
    • 提供者:施楠
  1. MDL_SLX

    0下载:
  2. sobel edge detection using verilog code
  3. 所属分类:Compiler program

    • 发布日期:2017-05-01
    • 文件大小:18096
    • 提供者:ANDREW DENI X G
  1. edge-detection1

    0下载:
  2. 基于FPGA开发环境,根据Sobel model算法,关于边缘检测的verilog代码。-the code of edge detection based on verilog.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-15
    • 文件大小:1024
    • 提供者:Oscar
  1. myClock

    0下载:
  2. 四位数码管显示24小时时钟,附上了ucf 芯片是Kintex7(Four bit digital tubes display 24 hour clocks)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:332800
    • 提供者:wkhno
  1. DE2_70_D5M_LTM

    0下载:
  2. filtre de sobel sur fpga
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:201728
    • 提供者:jordra
  1. DE2_70 sobel

    0下载:
  2. DE2_70 sobel_dilationdsd
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:237568
    • 提供者:jordra
  1. sobel

    1下载:
  2. sobel算子的vhdl实现,顶层用verilog(vhdl implement on sobel)
  3. 所属分类:图形图象

    • 发布日期:2018-05-03
    • 文件大小:8192
    • 提供者:西风吹牛
  1. sobel

    1下载:
  2. 由Verilog编写在FPGA实现sobel算法应用于图像边缘检测,工程文件可在quartus13.1以上版本打开;工程使用到ram、fifo、pll三种ip核,design文件夹下包含ram、fifo、vga控制以及串口收发和sobel算法模块,sim和doc文件夹下分别包含modelsim的仿真模块和仿真结果;测试时将200*200分辨率的图片用matlab文件夹下的matlab脚本压缩、二值化,再将生成文件中数据用串口发给FPGA,边缘检测结果会通过VGA输出。(Written by Ve
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-07-14
    • 文件大小:10222592
    • 提供者:丶大娱乐家
  1. sobel算法verilog实现

    0下载:
  2. 使用sobel算法完成了在FPGA平台上对图像的边缘化处理,并且可以将边缘处理的结果通过引脚输出,通过vga接口显示在电脑显示器上。
  3. 所属分类:VHDL编程

搜珍网 www.dssz.com